百味书屋 导航

基于EDA技术的智能抢答器的设计

来源网站:百味书屋 2017-05-05 06:59:00
经典文章

篇一:EDA技术的智能抢答器的设计

实习报告

题目:数字逻辑系统设计

班 级: 姓 名:

学 号:专 业:

实 验 室:开放实验室

实习时间:

一、实习教学的目的:

本实习为综合性、设计性实习,目的是加强学生的实践技能提高学生综合分析问题,解决问题的能力。

二、实习教学的基本要求:

1、加深对数字逻辑系统设计、数字电子技术课程所学理论的认识和理解,分析课题的要求,明确课题的内容。

2、根据系统划分,正确写出VHDL源程序并仿真验证,最后下载到实验箱上进行验

3、掌握课题电路的作用原理及主要电路的设计方法,掌握课题中各关键部件的描述方法

三、实习教学的内容:

设计: 数字式竞赛抢答器

1、设计一个可容纳4组参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。

5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。

三 单元模块设计部分

单元模块设计部分分四个部分,包括:抢答模块,计时模块,计分模块,数据选择模块。每个模块的作用分别为:

1.抢答模块:实现四路抢答功能,以选手最快速度将拨码开关置1为抢答成功。

2.计分模块:实现每位选手答题的计分功能,有手动加分减分功能,当选手抢答成功后10秒仍未答题则自动减分。

3.计时模块:实现选手答题的计时功能,若选手抢答成功后没有答题则计时模块会发出信号给计分模块。

4.数据选择模块:当选手抢答成功后,以该选手的号码最为数据选择的地址,数据选择将计时模块的减分信号线与该选手的计分模块相连接,若选手没有答题则扣分。

3.1 抢答模块的设计

其程序如下:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity qiangda is

port( a:in std_logic;

b:in std_logic;

c:in std_logic;

d:in std_logic;

en_out:out std_logic;

en:in std_logic;

adrout:out std_logic_vector(3 downto 0); led_out:buffer std_logic_vector(6 downto 0)); end qiangda;

architecture bhv of qiangda is

signal key_in:std_logic_vector(3 downto 0); begin

process(en)

begin

if en='1'then

key_in<=a&b&c&d;

else key_in<="0000";

end if;

end process;

process(key_in)

variable led:std_logic_vector(6 downto 0); begin

case key_in is

when"0000"=>led:="1000000";--0

when"1000"=>led:="1111001";--1when"0100"=>led:="0100100";--2when"0010"=>led:="0110000";--3when"0001"=>led:="0011001";--4when others=>led:=null; end case;

led_out<=led;

end process;

process(a,b,c,d)

begin

en_out<=a or b or c or d; adrout<=key_in;

end process;

end bhv;

3.2 计分模块的设计

其程序如下:

library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity jifen is

port(a:in std_logic;

篇二:基于EDA四位智能竞赛抢答器

四位智能竞赛抢答器

第一章 系统设计

第一节 课题目标

《硬件描述语言》是一门技术性、应用性很强的学科,实验课教学是它的一个极为重要的环节。不论理论学习还是实际应用,都离不开实验课教学。如果不在切实认真地抓好学生的实践技能的锻炼上下功夫,单凭课堂理论课学习,势必出现理论与实践脱节的局面。《HDL项目设计》的目的就是让我们在理论学习的基础上,通过完成一个涉及时序逻辑、组合逻辑、声光输出的,具有实用性、趣味性的小系统设计,使我们不但能够将课堂上学到的理论知识与实际应用结合起来,而且能够对分析、解决实际的数字电路问题进一步加深认识,为今后能够独立进行某些数字应用系统的开发设计工作打下一定的基础。

通过这次设计实验,首先,使我们更加深入的巩固了书本上的知识,在掌握理论基本知识的基础上,学会了对常用软件的使用。其次,通过这次设计实验,使我们了解并熟悉了程序的设计方法和步骤,而且在与同学讨论的同时也提高了团结合作的能力。然后,学会用仿真实验来验证方案的正确性,培养我们综合运用知识和独立开展实验创新的能力。最后,规范化训练我们撰写技术研究报告,提高书面表达能力。

第二节 设计要求

本设计是一个功能较为简单的四路抢答器,基本要求有以下几点:

(1)实现一四人抢答器,有人抢答成功后,其他人再抢答无效;

(2)主持人通过按键清除抢答信息,并开始30秒的答题倒计时,当倒计时结束时,通过蜂鸣器响来提示回答问题时间到,此时可以开始新一轮的抢答。

第三节 设计方案

设计是以Verilog HDL语言为基础设计的电子抢答器,在设计过程中先将系统模块化,然后逐步实现,根据设计功能要求,该设计主要包括按键抢答输入,数码管显示,报警电路及FPGA系统。抢答器结构原理图如图1:

图1 抢答器结构原理图

第二章 系统分析与实现

第一节 系统分析

该系统可实现要求中的最基本功能,除此之外还可以实现抢答时间限制的功能,其中,抢答成功者组号由静态显示的方法使用,使四个数码管同时显示其组号,同时,该抢答者对应的led灯亮。若还有其他抢答者在其后按下按键,抢答无效,只取第一个抢答者信息。

第二节 程序及程序功能介绍

一、端口定义部分

module

main(reset,clock,din1,din2,din3,din4,judge,beep,wei,duan,beep,wei1,duan1);

input reset,clock;

input din1,din2,din3,din4,judge;

output[3:0] wei;

output[7:0] duan;

output beep;

output[3:0] wei1;

output[7:0] duan1;

wire clk1k;

wire clk1hz;

fenpin uut1(reset,clock,clk1k,clk1hz);

qiangde uut2(clock,din1,din2,din3,din4,judge,clk1hz,wei,duan);

daojishi uut3(reset,clk1k,clk1hz,beep,wei1,duan1);

Endmodule

二、抢答部分

本段程序实现基本的抢答功能,block为锁存信号,当有一组按

下抢答按钮后,系统锁存,其他组别抢答无效;同时通过f1向蜂鸣器发出信号,蜂鸣器1s表示抢答成功;于此同时信号out输送给led灯,抢答成功者对应led灯亮;seg_figure4为静态显示抢答成功的组号,预置数为”_”;一组抢答成功后若其他组再抢答,数码管熄灭示警。

module qiangde(clock,din1,din2,din3,din4,judge,clk1_hz,wei,duan); input clock,judge;

input din1,din2,din3,din4;

input clk1_hz;

output[3:0] wei;

output[7:0] duan;

reg[3:0] wei;

reg[7:0] duan;

reg block;

always@(posedge clock)

begin

if(!judge)begin

block=0;

wei=4'b1111;

duan=8'hff;

end

篇三:基于FPGA技术的多人抢答器设计

毕业设计

课题名称:基于FPGA技术的多人抢答器设计

院 系 名 称

专 业

班 级

学 生 姓 名

学 号

指 导 教 师

完成日期:

摘 要

抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,对应的灯亮,同时电路将其他各组按键封锁,使其不起作用。若抢答时间内无人抢答,则报警灯亮。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。因此基于EDA技术的抢答器的逻辑功能,该电路应包括抢答器鉴别模块、抢答器计数模块、报警模块、译码模块、分频模块。 关键词:抢答鉴别、FPGA、计数、报警

Abstract

Responder is the answer for the quiz participants to answer in the design when a priority decision circuit, and the race can be divided into several groups, answer in each group on the host issues raised in the shortest possible time to make judgments , and press the answer in answer key. After pressing the button when the first person, then the display shows the number of the group, the corresponding lights, while other groups will be key circuit block, it does not work. If the answer in time, no answer in, the alarm lights. Answering questions, all the keys from the host to restore and re-start the next round of the Responder. So to complete the answering device logic functions, the circuit should include Responder identification module, Responder counting module, alarm module, decoding module, frequency module.

Keywords:Responder Identification 、Blockade 、Count 、Alarm

目 录

摘 要 .............................................................................................................................................. 2

Abstract ............................................................................................................................................. 2

目 录 ................................................................................................................................................ 3

一、EDA的发展历史 ........................................................................................................................ 4

二、EDA技术的概念与应用 ............................................................................................................ 4

2.1 EDA技术的基本概念 ........................................................................................................ 4

2.2 EDA工具软件 .................................................................................................................... 5

2.2.1 电子电路设计与仿真工具 .................................................................................... 5

2.2.2 PCB设计软件 ....................................................................................................... 7

2.2.3 IC设计软件 ............................................................................................................ 7

2.2.4 PLD设计工具 ........................................................................................................ 9

2.2.5 其它EDA软件 .................................................................................................... 10

2.3 EDA的应用 ...................................................................................................................... 11

2.4 EDA技术的发展趋势 ...................................................................................................... 11

三、选用的开发平台 ..................................................................................................................... 12

四、设计要求与方案 ..................................................................................................................... 13

4.1设计要求 .......................................................................................................................... 13

4.2方案设计与论证 .............................................................................................................. 13

4.3 单元电路设计 ................................................................................................................. 14

4.3.1 抢答鉴别模块 ...................................................................................................... 14

4.3.2 计数模块 .............................................................................................................. 16

4.3.3 报警模块 .............................................................................................................. 17

4.3.4 七段译码器模块 .................................................................................................. 17

4.3.5 分频模块 .............................................................................................................. 18

4.3.6 顶层文件 .............................................................................................................. 20

五、锁定引脚及下载 ..................................................................................................................... 21

5.1 选择锁定引脚,再重新编译一次 ................................................................................. 21

5.2 锁定引脚: ....................................................................................................................... 21

六、总结......................................................................................................................................... 22

参考文献......................................................................................................................................... 22

一、EDA的发展历史

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

二、EDA技术的概念与应用

2.1 EDA技术的基本概念

EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计

出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

EDA设计可分为系统级、电路级和物理实现级。

2.2 EDA工具软件

EDA工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。

目前进入我国并具有广泛影响的EDA软件是系统设计软件辅助类和可编程芯片辅助设计软件:Quartus II、Protel、Altium Designer、PSPICE、multisim 10(原EWB的最新版本)、OrCAD、PCAD、LSIIogic、MicroSim、ISE、modelsim、Matlab等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。

2.2.1 电子电路设计与仿真工具

我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。

电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。

①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出

基于EDA技术的智能抢答器的设计》出自:百味书屋
链接地址:http://m.850500.com/news/144443.html 转载请保 留,谢谢!

相关文章

推荐文章

百味书屋

© 百味书屋 m.850500.com 版权所有 广告合作:ainglaoda@qq.com